summaryrefslogtreecommitdiff
path: root/src/vga.c
diff options
context:
space:
mode:
Diffstat (limited to 'src/vga.c')
-rw-r--r--src/vga.c2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/vga.c b/src/vga.c
index 150af9b..dcfb737 100644
--- a/src/vga.c
+++ b/src/vga.c
@@ -26,7 +26,7 @@ void vga_scroll() {
}
}
vga_y = VGA_HEIGHT - 1;
- for (size_t x = 0; x < VGA_HEIGHT; x++) {
+ for (size_t x = 0; x < VGA_WIDTH; x++) {
vga_buffer[vga_y * VGA_WIDTH + x] = ' ' | (vga_color << 8);
}
}